Python Forum
Verilog HDL Programming to Python Programming?
Thread Rating:
  • 0 Vote(s) - 0 Average
  • 1
  • 2
  • 3
  • 4
  • 5
Verilog HDL Programming to Python Programming?
#2
What have you been researching, and why do you think it needs to be done in Verilog? The use case for Verilog is very different from what you described, which leads me to believe you're searching for something specific, or there's more requirements to your assignment than you listed.
Reply


Messages In This Thread
RE: Verilog HDL Programming to Python Programming? - by nilamo - Jul-18-2019, 09:28 PM

Possibly Related Threads…
Thread Author Replies Views Last Post
  programming different formulas in need of help noahneature 4 2,301 Oct-04-2020, 07:04 PM
Last Post: noahneature
  Programming Question anon47820 1 1,884 Jun-30-2020, 07:50 PM
Last Post: Yoriz
  Programming (identifier, literal and function call) ledangereux 5 4,989 May-05-2020, 12:37 PM
Last Post: gumi543
  Need urgent help in this question of Automata Programming Paradigm Satvik 5 3,346 Apr-27-2020, 06:05 PM
Last Post: buran
  Name Error: OO programming Pythonhelp82 2 1,844 Jun-30-2019, 10:22 AM
Last Post: Pythonhelp82
  How to correct the programming for KNN vokoyo 0 2,191 Apr-10-2019, 03:29 AM
Last Post: vokoyo
  How to correct the Python programming - Support Vector Machine vokoyo 7 4,472 Apr-06-2019, 10:11 AM
Last Post: scidam
  Socket Programming Help Djivan 3 2,705 Feb-21-2019, 01:55 PM
Last Post: Djivan
  Help for my assignment - Object Oriented Programming denizkb 5 5,104 Jan-05-2019, 06:43 PM
Last Post: stullis
  Basic Programming Help Travisbulls34 3 108,277 Sep-11-2018, 06:12 PM
Last Post: gruntfutuk

Forum Jump:

User Panel Messages

Announcements
Announcement #1 8/1/2020
Announcement #2 8/2/2020
Announcement #3 8/6/2020